Esta es un versión antigua publicada el 2014-01-02. Consulte la versión más reciente.

CONTROL ADAPTATIVO EN VHDL APLICADOS A UN HORNO SOLAR

Autores/as

  • Cecilia Sandoval Ruiz

DOI:

https://doi.org/10.24054/rcta.v1i23.1882

Palabras clave:

Aplicación solar, procesamiento paralelo, algoritmos adaptativos, FPGA

Resumen

En este trabajo se describe el diseño de una aplicación de control adaptativo para el manejo de la variable temperatura en un horno solar, enmarcado bajo la filosofía de hardware configurable, a través de la descripción en código VHDL (Very high speed
integrated circuit Hardware Description Language). Inicialmente, se realiza un estudio de las tendencias y modelos adaptativos, para su descripción sobre un dispositivo FPGA. La revisión teórica permitió definir los requerimientos para diversos algoritmos de control con ajuste de coeficientes, control adaptativo, entrenamiento de redes neuronales. Se validó el comportamiento de seguimiento de la referencia en una primera prueba de ganancia adaptativa y se propuso el sistema de control para el horno solar, reportando el rendimiento de los algoritmos estudiados, resultando estos eficientes para aplicaciones solares basadas en arreglos adaptativos de paneles reflectantes para control de temperatura.

Citas

Benavides, J.; Calienes, W. and Silva, C. (2009).

Diseño de una Arquitectura para la

implementación de un Filtro Adaptativo RLS

sobre un FPGA, in XV Workshop Iberchip,

Argentina, pp. 25 -27.

Castellanos, J. (2009). Sistema de Control

sustentado en Algoritmos Adaptativos para un

arreglo lineal de Antenas Inteligentes

utilizando tecnología FPGA, no. 243.

Castro, A. D. (2003) Aplicación del Control

Digital Basado En Hardware Específico Para

Convertidores De Potencia Conmutados, Tesis

doctoral.

Garcia, (s/f). La Cocina solar: El nuevo arte de

cocinar de modo saludable y ecológico.

Martínez, M. (2011). Implementation of QRD-RLS

algorithm on FPGA. Application to Noise

Canceller System, IEEE Latin America

Transactions, vol. 9, no. 4, pp. 458-462.

Minguez, A. (1998). Ingeniería Avanzada para

Sistemas de Control de Ruido Acústico

mediante Técnicas Adaptativas, Tesis Doctoral.

Montevideo. (2006). La Cocina Solar.

http://www.tecnologiasapropiadas.com/bibliote

ca/CeutaEnergiaSolarParte2.pdf. (Consultado:

de Diciembre 2012)

Oppenheim, R. W. and Schafer, A. V. (1989).

Discrete-Time Signal Processing. Prentice-

Hall, Englewood Cliffs, NJ.

Ramírez, M.; Moreno, V. y Cabrera, A. (2011).

Controlador lógico programable basado en

hardware reconfigurable, CIE2011, pp. 1-4.

Rodríguez, F. y López, M. (1996). Control

Adaptativo y Robusto. Universidad de Sevilla.

Sandoval, C. (2010). FPGA prototyping of neuroadaptive

decoder, Proceedings of the 9th

WSEAS international, pp. 99–104.

Sandoval, C.; Velazco, K. and Díaz, J. (2008).

Accionamiento eléctrico de sistemas dinámicos

a través de criterios de control óptimo, Rev. Ing

e Investigación, vol. 28, no. 2, pp. 66-71.

Sornam, V. (2005) Embedded Control Using

FPGA, in Control Engineering.

Soto, E. (2009). Plataforma de seguimiento para

cocinas y hornos solares, España.

http://www.gea.usm.cl/wpcontent/

uploads/2009/10/01_plataforma_cocina

.pdf

Suardíaz, J. y Al-Hadithi, B. (2005). Interfaz

Visual para la Simulación de un controlador

Hardware de Nivel de Liquido en un depósito

mediante la herramienta TCL/TK, Tecnología y

Desarrollo, Vol. 3, pp. 4-15.

Descargas

Publicado

2022-11-08 — Actualizado el 2014-01-02

Versiones

Cómo citar

Sandoval Ruiz, C. (2014). CONTROL ADAPTATIVO EN VHDL APLICADOS A UN HORNO SOLAR. REVISTA COLOMBIANA DE TECNOLOGIAS DE AVANZADA (RCTA), 1(23), 142–147. https://doi.org/10.24054/rcta.v1i23.1882 (Original work published 8 de noviembre de 2022)

Número

Sección

Artículos