1.
Sandoval Ruiz C. Control adaptativo en VHDL aplicados a un horno solar. RCTA [Internet]. 2 de enero de 2014 [citado 30 de junio de 2024];1(23):142-7. Disponible en: https://ojs.unipamplona.edu.co/index.php/rcta/article/view/1882